New report explains why TSMC favors Apple; Intel seeks to recapture process node leadership

4comments
New report explains why TSMC favors Apple; Intel seeks to recapture process node leadership
We have told you often that Apple is the number one client of Taiwan Semiconductor Manufacturing Company (TSMC), the world's largest independent foundry. TSMC has treated Apple with kid gloves, something that had top Intel executives flying over to Taiwan to discuss 3nm capacity as Intel plans to become one of TSMC's top customers by 2023 (more on that below). Apple has reportedly agreed with TSMC to take its complete first batch of 3nm production.

Apple accounts for over 25% of TSMC's revenue


In addition, during a global chip shortage that changed the supply-demand balance in the industry, TSMC raised the price it will charge Apple for its A-series SoCs by only 3% starting next month; other TSMC customers will have to deal with price hikes up to 20%. Thanks to a Bloomberg-DigiTimes report, we now know much of TSMC's total business is Apple-related.

The report notes that Apple accounts for 25.9% of TSMC's business. Last year, the chip manufacturer took in $45.51 billion in revenue which means that Apple's share of that business amounted to $11.4 billion. Second on the list is chip designer MediaTek and that firm was responsible for 5.8% of the foundry's gross. No other TSMC client makes up over 5% of its revenue.

AMD is third and makes up 4.4% of TSMC's top line. Next is Qualcomm which contributes 3.9% of TSMC's revenue. The remainder of the list includes Broadcom (3.8%), Nvidia (2.8%), Sony (2.5%), Marvell (1.4%), STM (1.4%), ADI (1.06%) and Intel (.84%). The latter's inclusion at the bottom of the list makes sense since Intel does have its own foundry, but currently, it is behind TSMC when it comes to the production of cutting-edge chips.

As a result, Intel seeks to outsource some of its chip production to TSMC which explains the meeting held last week. Supposedly, TSMC offered Intel production capacity at 4nm with testing done at 5nm. The meeting was held to "avoid [Intel] fighting with Apple." Among the Intel executives who traveled to Taiwan was CEO Pat Gelsinger who recorded a video before leaving for the country last week.

Recommended Stories
Video Thumbnail

The U.S. chip designer reportedly flew out of Taiwan last Wednesday. Intel's Gelsinger also spoke with Axios on HBO recently and explained the push for obtaining 3nm capacity. "Apple decided they could do a better chip themselves than we could," Gelsinger said."And, you know, they did a pretty good job."

The executive was talking about the M-series chips that Apple designed (and were built by TSMC using its enhanced 5nm process node) to replace Intel components inside Macs. The M1 (16 billion transistors), the M1 Pro (33.7 billion transistors), and the M1 Max (57 billion transistors) were announced earlier this year. Apple does use the M1 on the iPad Pro.

Besides seeking 3nm capacity from TSMC, Intel is interested in the foundry's 7nm, 6nm, and 5nm processes as it seeks to stop rival AMD (also a TSMC client) from encroaching on its market share. 

Intel seeks to take back process leadership from TSMC and Samsung


Gelsinger added, "So what I have to do is create a better chip than they [Apple] can do themselves. I would hope to win back this piece of their business, as well as many other pieces of business, over time." Intel also has announced plans to develop angstrom-scale chips and ramp up production of them in 2024. One angstrom (1A) is equivalent to .1nm and Intel is hoping that this innovation will make Intel the leading foundry able to produce chips carrying the highest number of transistors.

Intel used to be the leader in this department but both TSMC and Samsung have taken over while Intel has struggled. The general rule is that the smaller the process node, the smaller the transistors that fit inside a chip. And that is important because as more transistors are placed inside a chip, the more powerful and energy-efficient a chip is.

For example, the Apple A4 SoC that powered the iPhone 4 in 2010 was built using TSMC's 45nm process node and it contained 1.3 billion transistors. The A15 Bionic that powers the iPhone 13 line contains 15 billion transistors each and is produced using tsmc's enhanced 5nm process node.

The big question for the industry is whether transistor size can continue to shrink allowing for more of them to fit inside a component as small as a chip. That is the key to the production of more powerful and energy-efficient chips. As for Intel, it is expected to be TSMC's third-largest customer by 2023.

Recommended Stories

Loading Comments...
FCC OKs Cingular\'s purchase of AT&T Wireless